MARC보기
LDR00000nam u2200205 4500
001000000432472
00520200224131118
008200131s2019 ||||||||||||||||| ||eng d
020 ▼a 9781687931658
035 ▼a (MiAaPQ)AAI13902347
040 ▼a MiAaPQ ▼c MiAaPQ ▼d 247004
0820 ▼a 621.3
1001 ▼a Guler, Abdullah.
24510 ▼a FinFET-based SRAM and Monolithic 3-D Integrated Circuit Design.
260 ▼a [S.l.]: ▼b Princeton University., ▼c 2019.
260 1 ▼a Ann Arbor: ▼b ProQuest Dissertations & Theses, ▼c 2019.
300 ▼a 161 p.
500 ▼a Source: Dissertations Abstracts International, Volume: 81-05, Section: B.
500 ▼a Advisor: Jha, Niraj Kumar.
5021 ▼a Thesis (Ph.D.)--Princeton University, 2019.
506 ▼a This item must not be sold to any third party vendors.
520 ▼a Device miniaturization enabled processors to become faster and more powerful for decades. However, device scaling became more challenging due to increasing leakage power consumption, intolerable short-channel effects (SCEs), and manufacturing costs. This thesis aims to develop newer approaches for low-power and high-performance designs for next generation computing technologies. It focuses on two research directions: FinFET-based static random access memory (SRAM) design and hybrid monolithic 3-D integrated circuit (IC) design.The first research direction is to design area-efficient, low-power, and high-performance SRAM cells. To this end, we investigate two approaches: multi-parameter asymmetric (MPA) FinFET-based SRAM design and 3-D transistor-level monolithic (TLM) SRAM design. In the first approach, we use FinFETs with up to three asymmetries to address various SRAM challenges such as high leakage power, read-write conflict, and width quantization issue at once. We present five new 6T SRAM cells using MPA FinFETs and provide a comprehensive evaluation of SRAM cells based on asymmetric FinFETs. We show MPA FinFETs can achieve high stability metrics and reduce leakage power significantly at a cost of degraded performance. We investigate TLM technology in the second approach of SRAM design. In 3-D TLM design, n- and p-type transistors are fabricated on different layers. Conventional 6T/8T SRAM cells have an area inefficiency when implemented in 3-D due to the unequal number of n- and p-type transistors in the cell. We present two new 3-D 8T SRAM cells that consist of four n-type and four p-type transistors for better area efficiency. The proposed cells provide superior read performance and lower leakage power consumption when compared to other 2-D/3-D SRAM cells at a cost of degradation in writeability.The second research direction of this thesis is to explore the benefits of monolithic 3-D design from circuit to multi-core system level. 3-D ICs can address design challenges such as the interconnect bottleneck and memory wall. 3-D ICs reduce power consumption, delay, and interconnect length by utilizing the vertical dimension. Among 3-D IC solutions, monolithic 3-D technology appears to be very promising as it provides the highest connectivity between transistor layers owing to its nanoscale monolithic inter-tier vias (MIVs). Monolithic 3-D integration can be realized at different levels of granularity such as block, gate, and transistor. In this thesis, we focus on hybrid monolithic (HM) designs, which combine modules implemented in different monolithic styles to utilize their advantages. We develop the tools that are needed to explore the HM design space. We develop a 3-D HM floorplanner, gate-level placement methodology, and modeling tools for logic, memory, and NoC modules. We integrate these tools into McPAT-monolithic, an area/timing/power architectural modeling framework we develop for HM multi-core systems.
590 ▼a School code: 0181.
650 4 ▼a Electrical engineering.
690 ▼a 0544
71020 ▼a Princeton University. ▼b Electrical Engineering.
7730 ▼t Dissertations Abstracts International ▼g 81-05B.
773 ▼t Dissertation Abstract International
790 ▼a 0181
791 ▼a Ph.D.
792 ▼a 2019
793 ▼a English
85640 ▼u http://www.riss.kr/pdu/ddodLink.do?id=T15492356 ▼n KERIS ▼z 이 자료의 원문은 한국교육학술정보원에서 제공합니다.
980 ▼a 202002 ▼f 2020
990 ▼a ***1008102
991 ▼a E-BOOK